[PDF] [PDF] Machines à états finie Machine de Mealy

Nombre d'états plus réduit que pour une machine de Moore • Il est possible de resynchroniser la sortie au besoin en ajoutant des bascules D Combinatoire des  



Previous PDF Next PDF





[PDF] Machines à états finie Machine de Mealy

Nombre d'états plus réduit que pour une machine de Moore • Il est possible de resynchroniser la sortie au besoin en ajoutant des bascules D Combinatoire des  



[PDF] Automate fini ou Machine à états - Thierry VAIRA Homepage - Free

Un automate fini ou machine à états finis (finite state machine) est un modèle mathématique de calcul utilisé dans de nombreux domaines (conception de 



[PDF] Machine à états C/C++/Qt - Thierry VAIRA Homepage - Free

Un automate fini ou machine à états finis (finite state machine) est un modèle mathématique de calcul utilisé dans de nombreux domaines (conception de 



[PDF] (Synthèse des machines à états)

Machine à états – modèle de Moore LOGIQUE COMBINATOIRE MÉMOIRE D' ETATS (N BASCULES D) LOGIQUE COMBINATOIRE Entrées Sorties



[PDF] Structure des machines détat (State Machine)

Dans les deux types de machine (Mealy et Moore), F et G sont des circuits combinatoires Entrées Logique du prochain état F Mémoire Logique de sortie G



[PDF] CHAPITRE 7 MACHINE DÉTAT SYNCHRONE

Circuit avec horloge commune et avec un nombre fini d'états "Finite State Machine" 7 1 Machine de Mealy Circuit dont la sortie dépend de son état interne  



[PDF] Finite State Machine machine à états finis

Finite State Machine, State Charts 5 Pourquoi ce cours ○ Vous faire connaître la notion de FSM ○ Vous faire comprendre les intérêts des machines à états 



[PDF] Les machines à états finis - Free

Concevoir une machine d'états ayant deux entrées A et B et une sortie Z telle que : z(t) =1 si B=1 depuis la dernière fois où la première condition a été vérifiée



[PDF] En Machine de Mealy

Les équations d'état sont aussi appelées équations de transition Page 4 Sylvain Martel - INF1500 4 Machines d'états finis ( 



[PDF] Exercice 1: (Analyse dune machine à états finis: 10 points)

Graphe d'états de la machine à états finis, Ex 1 1 1 En étudiant la chaîne directe de ce graphe: a Le type de cette machine (Moore ou Mealy) Justifier 0 5 pt

[PDF] machine a dessiner

[PDF] machine a laver brandt probleme

[PDF] machine d'emballage alimentaire

[PDF] machine d'emballage carton

[PDF] machine d'emballage plastique

[PDF] machine de fabrication d'emballage en papier

[PDF] machine de fabrication de boite a pizza

[PDF] machine de fabrication de carton d'emballage

[PDF] machine de fabrication de sac en papier

[PDF] machine de fabrication sachet plastique

[PDF] machine enigma prix

[PDF] machine fabrication canette aluminium

[PDF] machine fabrication carton ondulé

[PDF] machine infernale cocteau analyse

[PDF] machine infernale cocteau texte intégral gratuit

1

Machines à états finie

Machine de Mealy.• Mémorisation synchrone des états (càd sur un front d"horloge).• La sortie dépend directement de l"entrée et ceci indépendamment de l"horloge (clk).

?Sortie asynchrone. • Nombre d"états plus réduit que pour une machine de Moore. • Il est possible de resynchroniser la sortie au besoin en ajoutant des bascules D.

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

• L"état futur est calculé à partir des entrées et de l"état présent. • Les sorties d"une machine de Mealy dépendent de l"état présent et des entrées. 2

Machine de Mealy

Exemple

: Machine de Mealy reconnaissant la séquence 10

E = '1"

/ S <= '0"

Condition de

validation de la transitionAffectation de la valeur '0" à la sortie

Etat 0

Etat 1

reset

E = '1"

/ S <= '0"

E = '0"

/ S <= '1"

E = '0"

/ S <= '0"

E = '1"

/ S <= '0" clkE

Etat 0 Etat 1 Etat 0

S

Etat présent

3

Machines à états finie

Machine de Moore.

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

• Les sorties d"une machine de Moore dépendent de l"état présent (synchrones, elles changent sur un front d"horloge). • L"état futur est calculé à partir des entrées et de l"état présent. 4

Machine de Moore

Exemple

: Machine de Moore reconnaissant la séquence 10 reset

Etat 1S <= '0"

E = '0"

Etat 0S <= '0"

Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

clkE

Etat 0 Etat 1

S

Etat présent Etat 2

Etat 0

5

Ecriture VHDL - Machine de Mealy

Etat 0Etat 0

Etat 1Etat 1

reset

E = '1"

/ S <= '0"

E = '0"

/ S <= '1"

E = '0"

/ S <= '0"

E = '1"

/ S <= '0"

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Description avec 3 process

• Un process séquentiel de mise à jour de l"état présent par l"état futur sur les fronts montant d"horloge (reset asynchrone inclus) : 6

Ecriture VHDL - Machine de Mealy

Etat 0Etat 0

Etat 1Etat 1

reset

E = '1"

/ S <= '0"

E = '0"

/ S <= '1"

E = '0"

/ S <= '0"

E = '1"

/ S <= '0"

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Description avec 3 process

• Un process combinatoire de calcul de l"état futur à partir des entrées et de l"état présent : 7

Ecriture VHDL - Machine de Mealy

Etat 0Etat 0

Etat 1Etat 1

reset

E = '1"

/ S <= '0"

E = '0"

/ S <= '1"

E = '0"

/ S <= '0"

E = '1"

/ S <= '0"

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Description avec 3 process

• Un process combinatoire de calcul des sorties à partir des entrées et de l"état présent : 8

Ecriture VHDL - Machine de Mealy

Description avec 2 process

• Les 2 process combinatoires possèdent la même liste de sensibilité, ils peuvent donc être regroupés en un seul process afin d"abréger l"écriture. ?2 process = 1 process séquentiel + 1 process combinatoire

Description avec 1 process

• Description la plus compacte en utilisant une variable pour l"état (en lieu et place des 2 signaux). •A pour effet de resynchroniser la sortie de façon implicite. • Cependant perte de lisibilité lors de l"écriture. Alors que cette description n"apporte rien en terme de résultat de synthèse par rapport à une description 2 process. ?À éviter (pt de vue personnel cependant ...). 9

Ecriture VHDL - Machine de Moore

Description avec 3 process

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

reset

Etat 1S <= '0"

E = '0"

Etat 0S <= '0"

Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

reset

Etat 1S <= '0"Etat 1S <= '0"

E = '0"

Etat 0S <= '0"Etat 0S <= '0"

Etat 2S <= '1"Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

• Un process séquentiel de mise à jour de l"état présent par l"état futur sur les fronts montant d"horloge (reset asynchrone inclus) : 10

Ecriture VHDL - Machine de Moore

Description avec 3 process

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

reset

Etat 1S <= '0"

E = '0"

Etat 0S <= '0"

Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

reset

Etat 1S <= '0"Etat 1S <= '0"

E = '0"

Etat 0S <= '0"Etat 0S <= '0"

Etat 2S <= '1"Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

• Un process combinatoire de calcul de l"état futur à partir des entrées et de l"état présent : 11

Ecriture VHDL - Machine de Moore

Description avec 3 process

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

Combinatoire

des états

Entrées

Mémorisation

état (registre)

clk

Etat futurEtat présent

Combinatoire

de la sortie

Sorties

reset

Etat 1S <= '0"

E = '0"

Etat 0S <= '0"

Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

E = '0"

reset

Etat 1S <= '0"Etat 1S <= '0"

E = '0"

Etat 0S <= '0"Etat 0S <= '0"

Etat 2S <= '1"Etat 2S <= '1"

E = '1"E = '1"

E = '1"

E = '0"

quotesdbs_dbs47.pdfusesText_47